T flip-flops (T for toggle) are slightly different from our usual flip-flop configurations. They contain a feedback element, where when the input is 0 at the rising clock edge, we observe no change in . When it’s 1 at the rising clock edge, changes to (i.e., it toggles).

The easiest physical implementation involves the use of a XOR gate, but we can alternatively use a mux to select: A key application of T flip-flops are in counters, especially if we chain them together.